Photoresists are light-sensitive materials critical to photolithography, a cornerstone process in microelectronics and semiconductor manufacturing. They enable the transfer of intricate circuit patterns onto substrates, such as silicon wafers, through selective exposure to light and subsequent development. In metal etching, photoresists serve as protective masks, shielding specific areas of the substrate while allowing chemical or plasma-based etchants to remove unprotected material. However, the harsh chemical environments and high-energy conditions of metal etching processes challenge the durability of photoresists, particularly their resistance to corrosion. Corrosion in this context refers to the unintended degradation or erosion of the photoresist film due to chemical attack, plasma bombardment, or electrochemical reactions, which can compromise pattern fidelity and device performance.
Optimizing the corrosion resistance of photoresists is a multidisciplinary endeavor, involving material science, chemical engineering, and process optimization. The goal is to enhance the photoresist’s ability to withstand aggressive etchants, maintain structural integrity, and ensure precise pattern transfer, especially as semiconductor feature sizes shrink below 5 nm. This article provides a comprehensive exploration of the strategies, materials, and processes used to improve photoresist corrosion resistance in metal etching. It covers the fundamental principles of photoresists, the mechanisms of corrosion, and recent advancements in resist formulations, etching techniques, and process controls. Detailed comparisons of photoresist materials and etching methods are presented in tables to facilitate a scientific understanding of the field.
Fundamentals of Photoresists in Metal Etching
Definition and Role of Photoresists
A photoresist is a photosensitive polymer or composite material applied as a thin film to a substrate. In photolithography, it undergoes chemical changes upon exposure to light (typically ultraviolet, deep ultraviolet, or extreme ultraviolet) through a patterned mask. The exposed or unexposed regions, depending on the resist type, become soluble or insoluble in a developer solution, creating a patterned mask. This mask protects specific substrate areas during etching, where material is selectively removed to form microstructures.
Photoresists are classified into two main types: positive-tone and negative-tone. Positive-tone photoresists become soluble in the developer upon light exposure due to polymer chain scission or other chemical transformations. Negative-tone photoresists, conversely, become insoluble due to crosslinking or polymerization, making unexposed areas soluble. Both types are used in metal etching, but their corrosion resistance varies based on their chemical composition and the etching environment.
In metal etching, the photoresist must endure exposure to corrosive etchants, such as acidic solutions (e.g., hydrofluoric acid, nitric acid) or reactive plasmas (e.g., chlorine- or fluorine-based). The resist’s ability to resist degradation—chemical dissolution, physical erosion, or structural collapse—directly impacts the accuracy of the etched features. As feature sizes decrease, the demands on photoresist corrosion resistance intensify, necessitating advanced materials and process optimizations.
Mechanisms of Corrosion in Photoresists
Corrosion of photoresists during metal etching arises from multiple mechanisms, influenced by the etching method (wet or dry), the etchant chemistry, and the resist’s material properties. Understanding these mechanisms is essential for developing corrosion-resistant photoresists.
Chemical Dissolution
Chemical dissolution occurs when etchants penetrate the photoresist film, reacting with its polymer matrix or additives. For example, in wet etching, acidic solutions like hydrofluoric acid (HF) can hydrolyze polymer chains, weakening the resist’s structure. Organic photoresists, often composed of carbon-based polymers like novolac or poly(methyl methacrylate) (PMMA), are particularly susceptible to polar solvents or strong acids, which disrupt intermolecular bonds. Inorganic or hybrid photoresists, incorporating metal oxides or organometallic compounds, generally exhibit higher resistance to chemical attack due to their robust inorganic frameworks.
Plasma-Induced Erosion
Dry etching, particularly reactive ion etching (RIE), uses plasma to remove material. Plasmas contain energetic ions, radicals, and neutral species that bombard the photoresist surface, causing physical sputtering or chemical reactions. For instance, fluorine-based plasmas (e.g., SF6, CF4) can etch organic photoresists by forming volatile carbon-fluorine compounds, eroding the film. The high-energy ion bombardment also induces thermal stress, leading to cracking or delamination. Negative-tone resists, with their crosslinked structures, often fare better in plasma environments than positive-tone resists, which may soften under heat.
Electrochemical Corrosion
In processes involving metal substrates or conductive layers, electrochemical corrosion can occur, particularly during wet etching. Galvanic corrosion, for example, arises when dissimilar metals (e.g., gold and gallium arsenide) are in contact in an electrolyte, creating a galvanic cell that accelerates resist degradation. This is a significant concern in compound semiconductor processing, where noble metals like gold are common. The photoresist may fail to protect the substrate if its adhesion is compromised or if the etchant penetrates defects in the film.
Pattern Collapse and Mechanical Failure
As feature sizes shrink, high-aspect-ratio patterns become prone to collapse due to capillary forces during wet development or etching. This is not corrosion in the chemical sense but a mechanical failure exacerbated by the etching environment. Thin photoresist films (e.g., <40 nm) required for sub-10 nm nodes are particularly vulnerable, as they lack the structural rigidity to withstand etching stresses. Inorganic photoresists, with their higher mechanical strength, are less susceptible to pattern collapse than organic counterparts.
Challenges in Photoresist Corrosion Resistance
The semiconductor industry’s relentless drive toward smaller feature sizes imposes stringent requirements on photoresist performance. The transition from deep ultraviolet (DUV) to extreme ultraviolet (EUV) lithography, with wavelengths of 13.5 nm, has intensified these challenges. Key issues include:
- Reduced Film Thickness: To prevent pattern collapse in high-aspect-ratio features, photoresist films must be thin (e.g., 20–40 nm). However, thinner films are less resistant to etching conditions, as they provide a shorter diffusion path for etchants and are more prone to pinholes or defects.
- EUV Absorption: Organic photoresists have low absorption coefficients for EUV light, requiring higher exposure doses that can degrade the resist’s chemical stability. Inorganic resists, with higher EUV absorption, are promising but require optimization to balance sensitivity and corrosion resistance.
- Etch Selectivity: The photoresist must have high etch selectivity relative to the substrate material, meaning it etches much slower than the metal or dielectric being patterned. Organic resists often have poor selectivity in plasma etching, necessitating hard masks or advanced formulations.
- Line Edge Roughness (LER): Corrosion-induced irregularities in the photoresist pattern increase LER, degrading device performance. Minimizing LER requires resists with uniform chemical composition and resistance to etchant-induced surface roughening.
- Environmental and Cost Considerations: Modern photoresists must balance performance with environmental sustainability and cost-effectiveness. Organic solvents used in traditional resist processing are being phased out in favor of greener alternatives, complicating formulation design.
These challenges underscore the need for innovative photoresist materials and etching processes tailored to specific metal etching applications.
Strategies for Optimizing Photoresist Corrosion Resistance
Optimizing photoresist corrosion resistance involves a multifaceted approach, encompassing material design, process engineering, and equipment advancements. The following sections detail key strategies, supported by recent research and industry developments.
Advanced Photoresist Materials
Organic Photoresists with Additives
Traditional organic photoresists, such as those based on novolac resins or chemically amplified resists (CARs), have been enhanced with additives to improve corrosion resistance. For example, polycarbocyclic derivatives (e.g., anthracenes, adamantanes) increase etch resistance in oxygen, fluorine, and chlorine plasmas by reducing the Ohnishi number, a metric of etch susceptibility based on carbon, hydrogen, and oxygen content. A 2003 study demonstrated that adding mixed anthryl derivatives to a 193 nm CAR reduced the etching rate by up to 30% in SF6 plasma, with minimal impact on lithographic resolution.
Chemically amplified resists incorporate photoacid generators (PAGs) that enhance sensitivity but can compromise etch resistance due to acid diffusion. Controlling PAG diffusion distance, as reported by Hori et al., improves the resolution-line-edge-roughness-sensitivity (RLS) trade-off, indirectly enhancing corrosion resistance by ensuring uniform film properties. Additives like photosensitizers also boost EUV absorption, reducing the exposure dose and minimizing photochemical degradation.
Inorganic and Hybrid Photoresists
Inorganic photoresists, particularly those based on metal oxides (e.g., ZrOx, HfOx, SnOx), have emerged as leading candidates for EUV lithography and metal etching due to their superior etch resistance. These materials leverage the high bond strength of metal-oxygen bonds to withstand plasma and wet etchants. For instance, Stowers’ team developed ZircSOx and HafSOx photoresists with etch resistance seven times that of silicon dioxide in plasma etching, achieving sensitivities of 8 μC/cm² for e-beam lithography.
Organometallic photoresists, combining metal cores with organic ligands, offer a hybrid approach. Tin-based resists from Inpria, for example, exhibit excellent EUV absorption and selectivity, with organic ligands decomposing under exposure to form crosslinked tin clusters that resist etching. These resists achieve high resolution (e.g., 15 nm lines) and low LER, critical for sub-7 nm nodes. The inorganic core enhances mechanical stability, reducing pattern collapse, while the organic component ensures processability.
Table 1 compares the properties of organic, inorganic, and hybrid photoresists in metal etching environments.
Table 1: Comparison of Photoresist Types for Metal Etching
Property | Organic Photoresists | Inorganic Photoresists | Hybrid (Organometallic) Photoresists |
---|---|---|---|
Primary Composition | Carbon-based polymers (e.g., novolac, PMMA) | Metal oxides (e.g., ZrOx, HfOx, SnOx) | Metal core with organic ligands (e.g., Sn-organics) |
EUV Absorption | Low (~1–2 μm⁻¹) | High (~4–6 μm⁻¹) | Moderate (~2–4 μm⁻¹) |
Etch Resistance | Moderate (1–2x SiO₂) | High (5–7x SiO₂) | High (3–5x SiO₂) |
Pattern Collapse Risk | High (thin films <40 nm) | Low | Moderate |
Sensitivity (mJ/cm²) | 10–50 (CARs) | 5–20 | 8–30 |
LER (nm) | 3–5 | 1–2 | 1.5–3 |
Typical Applications | DUV, 193 nm lithography | EUV, e-beam lithography | EUV, advanced nodes (<7 nm) |
Environmental Impact | High (organic solvents) | Moderate (aqueous solutions) | Moderate (solvent-dependent) |
Note: Etch resistance is normalized to thermal SiO₂. Data compiled from,,.
Nanoparticle-Based Resists
Nanoparticle-based photoresists, such as HfO2 or ZrO2 nanoparticles with organic ligands, combine high etch resistance with low LER. These resists, developed for EUV and e-beam lithography, have etch resistances up to 25 times higher than polymeric resists, enabling ultrathin films (<40 nm) without pattern collapse. The small nanoparticle size (<5 nm) reduces light scattering, improving resolution. Surface ligand optimization, such as using photo-crosslinkable groups, enhances photochemical stability, further improving corrosion resistance.
Etching Process Optimization
Wet Etching Enhancements
Wet etching, while cost-effective, poses significant corrosion challenges due to isotropic etching and chemical aggression. Strategies to mitigate photoresist corrosion include:
- Etchant Selection: Using less aggressive etchants, such as copper chloride (CuCl2) for stainless steel, provides controlled etching rates and reduces resist degradation compared to HF-based solutions. Semi-aqueous solutions with carboxylic acids (e.g., citric acid) improve selectivity and minimize copper etching, preserving the resist mask.
- Surface Pretreatment: Cleaning the substrate to remove contaminants enhances photoresist adhesion, reducing etchant penetration. For stainless steel, a cleaning line removes oxides and organic residues, improving resist performance.
- Resist Hardening: Post-exposure hard baking (e.g., 120–180°C for 20–30 minutes) solidifies the photoresist, increasing its resistance to wet etchants. This step is critical for non-chemically amplified resists.
Dry Etching Improvements
Dry etching, particularly RIE, offers anisotropic etching but challenges photoresist durability due to plasma exposure. Optimization strategies include:
- Gas Mixture Tuning: Adding small amounts of SF6 to chlorine-based plasmas (e.g., Cl2/BCl3) enhances NiCr etching selectivity over photoresist by 4.4–8.3 times. SF6 interacts with the resist to form a protective polymer layer, reducing the etch rate to 14–60 nm/min compared to 100–205 nm/min in Cl2 alone.
- Pressure and Power Control: Low-pressure RIE (≤20 mTorr) and moderate RF power (e.g., 700 W) minimize ion bombardment, preserving resist integrity. A 2015 study on NiCr etching achieved uniform patterns with a 1 μm AZ MIR-703 photoresist under these conditions.
- Dry Development: For inorganic resists, vapor-phase development eliminates capillary forces associated with wet developers, reducing pattern collapse and corrosion. Lam Research’s negative-tone metal-oxide resist achieved superior resolution using dry development, with selective removal of unexposed regions.
Table 2 compares wet and dry etching methods for photoresist corrosion resistance.
Table 2: Wet vs. Dry Etching for Photoresist Corrosion Resistance
Parameter | Wet Etching | Dry Etching (RIE) |
---|---|---|
Etching Mechanism | Chemical dissolution | Plasma-based (chemical + physical) |
Anisotropy | Isotropic | Anisotropic |
Typical Etchants | HF, HNO3, CuCl2 | Cl2, BCl3, SF6, CF4 |
Photoresist Etch Rate | High (50–200 nm/min) | Moderate (14–60 nm/min with SF6) |
Selectivity | Low to moderate | High (up to 8x with optimized gases) |
Pattern Collapse Risk | High (capillary forces) | Low (dry development possible) |
Corrosion Mechanisms | Chemical attack, galvanic corrosion | Plasma erosion, thermal stress |
Applications | Stainless steel, copper etching | NiCr, semiconductor patterning |
Equipment Cost | Low | High |
Note: Etch rates and selectivity depend on specific conditions. Data from,,.
Metal-Assisted Chemical Etching (MACE)
MACE is an emerging wet etching technique that uses metal catalysts (e.g., silver nanoparticles) to induce localized electrochemical reactions in a hydrofluoric acid and hydrogen peroxide solution. MACE offers precise control over nanostructure formation, but photoresist corrosion remains a concern due to the aggressive HF-based etchant. Optimization strategies include:
- Catalyst Control: Minimizing silver nanoparticle redeposition reduces unintended etching pathways that degrade the resist. Studies show that high doping levels in silicon substrates increase porosity, necessitating robust resists like HSQ (hydrogen silsesquioxane).
- Resist Selection: Inorganic resists, such as HSQ, provide superior resistance to HF-based MACE compared to organic resists, due to their low dielectric constant and metal-free composition.
- Process Parameters: Adjusting the HF:H2O2 ratio and etching time minimizes resist exposure to the etchant, preserving pattern fidelity.
Surface Engineering and Coatings
Antireflection Coatings (ARCs)
Applying an ARC beneath the photoresist reduces substrate reflectivity, improving pattern resolution and indirectly enhancing corrosion resistance by ensuring uniform exposure. Inorganic ARCs, such as silicon nitride, also act as a barrier layer, protecting the substrate and reducing etchant penetration through the resist. Organic ARCs, while effective, are less resistant to plasma etching and may require optimization.
Surface Modification
Surface modification of photoresists, such as silylation or polysiloxane formation, enhances etch resistance. For example, exposing irradiated polymer films to tetramethoxysilane vapor forms a SiO2 layer that resists oxygen plasma etching. This technique, reported in 2004, achieved submicron patterns with minimal corrosion. Similarly, metallized photoresists, created via electroless plating after exposure, exhibit high plasma etch resistance, enabling pattern transfer into thick SiO2 layers.
Process Control and Equipment
Deposition Techniques
The method of photoresist deposition affects its uniformity and corrosion resistance. Spin coating, while common, can introduce defects in ultrathin films. Electrochemical deposition, explored for 3D patterning, improves homogeneity and cavity coverage, enhancing resist durability in anisotropic etching. Vapor-phase deposition, used in dry resist processes, eliminates solvent-related defects and improves resolution.
Monitoring and Feedback Systems
Real-time monitoring of etching parameters, such as plasma composition, pressure, and resist thickness, enables dynamic adjustments to minimize corrosion. Scanning electron microscopy (SEM) and electrical measurements can detect corrosion-induced defects, guiding process optimization. Advanced RIE systems, like the P5000, offer precise control over gas flow and RF power, reducing resist etch rates.
Recent Advances and Case Studies
EUV Lithography and Inorganic Resists
The adoption of EUV lithography has spurred development of inorganic photoresists with enhanced corrosion resistance. Inpria’s tin-based organometallic resists, optimized for EUV, achieve high sensitivity (8–30 mJ/cm²) and etch selectivity, making them ideal for metal etching in sub-7 nm nodes. A 2020 review highlighted their ability to form crosslinked tin clusters under EUV exposure, resisting plasma and wet etchants. Case studies from TSMC and Intel demonstrate successful patterning of copper interconnects using these resists, with minimal LER and no pattern collapse.
NiCr Etching Optimization
A 2015 study on NiCr thin-film etching optimized RIE parameters to enhance photoresist corrosion resistance. By introducing 5 sccm SF6 into a Cl2/BCl3 plasma, researchers reduced the photoresist etch rate from 100–205 nm/min to 14–60 nm/min, increasing NiCr selectivity by up to 8.3 times. The optimized process achieved 2 μm line widths with a 1 μm AZ MIR-703 photoresist, demonstrating high-resolution patterning for microelectronic applications.
MACE for Silicon Nanostructures
MACE has been used to fabricate silicon nanowires with photoresist masks, but corrosion remains a challenge. A 2024 study reported that HSQ resists, combined with low HF:H2O2 ratios, minimized resist degradation during MACE, achieving nanowires with 1–5 nm roughness. The resist’s inorganic nature and low dielectric constant ensured compatibility with the electrochemical etching environment.
Comparative Analysis of Photoresist Performance
Table 3 provides a detailed comparison of photoresist materials in specific metal etching applications, focusing on corrosion resistance metrics.
Table 3: Photoresist Performance in Metal Etching Applications
Photoresist | Etching Method | Substrate | Etchant | Etch Rate (nm/min) | Selectivity | Resolution (nm) | Corrosion Resistance | Reference |
---|---|---|---|---|---|---|---|---|
AZ MIR-703 (Organic) | RIE | NiCr | Cl2/BCl3/SF6 | 14–60 | 4.4–8.3 | 2000 | Moderate | |
ZircSOx (Inorganic) | Plasma Etching | Silicon | SF6/O2 | <10 | >7x SiO₂ | 15 | High | |
Inpria Sn-based (Hybrid) | Wet Etching | Copper | CuCl2 | 20–50 | 3–5 | 15 | High | |
HSQ (Inorganic) | MACE | Silicon | HF/H2O2 | <5 | >10 | 30 | Very High | |
PMMA (Organic) | RIE | Silicon | SF6 | 83–195 | 1–2 | 50 | Low |
Note: Selectivity is relative to the substrate or SiO₂. Corrosion resistance is qualitatively assessed based on etch rate and pattern fidelity.
Future Directions
The optimization of photoresist corrosion resistance is an evolving field, driven by the semiconductor industry’s push for smaller, more efficient devices. Future research should focus on:
- Sustainable Formulations: Developing photoresists with renewable feedstocks and green solvents to reduce environmental impact, as suggested by recent studies.
- Multifunctional Resists: Designing resists that combine patterning, sensing, and surface modification capabilities, leveraging advances in nanotechnology.
- Machine Learning Optimization: Using AI to predict and optimize resist formulations and etching parameters, improving corrosion resistance and process efficiency.
- Novel Etching Techniques: Exploring plasma-free etching methods, such as atomic layer etching, to minimize resist damage while maintaining anisotropy.
Conclusion
The optimization of photoresist corrosion resistance in metal etching is a critical enabler of advanced semiconductor manufacturing. By leveraging advanced materials like inorganic and hybrid photoresists, optimizing etching processes, and employing surface engineering, researchers and engineers have made significant strides in enhancing resist durability. Inorganic resists, such as ZircSOx and tin-based organometallics, offer superior etch resistance and resolution, addressing the challenges of EUV lithography and sub-5 nm nodes. Process innovations, including dry development and MACE, further mitigate corrosion risks. Comparative analyses, as presented in the tables, underscore the trade-offs between organic, inorganic, and hybrid resists, guiding material selection for specific applications. As the industry continues to innovate, the synergy of material science, process engineering, and computational tools will drive the development of next-generation photoresists, ensuring robust performance in the face of increasingly demanding etching environments.